From d0a161ee53f55c17f822cb3c1cb2542ad6f9904a Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Fernando=20Mart=C3=ADn=20Garc=C3=ADa=20Del=20Angel?= Date: Mon, 26 Nov 2018 13:23:16 -0600 Subject: [PATCH] Final Complete --- .../UserInterfaceState.xcuserstate | Bin 69943 -> 71189 bytes .../xcdebugger/Breakpoints_v2.xcbkptlist | 12 ++++++------ .../Controller/DetailViewController.swift | 9 +++++---- 3 files changed, 11 insertions(+), 10 deletions(-) diff --git a/Musgravite.xcworkspace/xcuserdata/Luna.xcuserdatad/UserInterfaceState.xcuserstate b/Musgravite.xcworkspace/xcuserdata/Luna.xcuserdatad/UserInterfaceState.xcuserstate index faf95bcd1b5552586bffbe294a15dacae9b1fcd1..0695a9dbfdfd32666bd09747a89dca09f76e3564 100644 GIT binary patch delta 26584 zcmbTd1$-3O7eBf?J2NZEj&9uDv&klq5C{Z<1&F)r#@&5$aVa{uM{u_mcXy{ar4*-> zmQsrK-PuiO`+ohu_dc)b4!d*j-0%0Cd(OG%%6!*>&RLJn7$j6|3HB2@eH8wMAPA07 z2#qiZix?rMh#BI5xFD{G8=^#1NIxV93Fg{`2L@z{vP5~J3Q?t~N>nYX5!H&?L_joJ z^o?k$Xqsrcs6*5#>JqIGtrV>itro2jtre{ktru+&Z4_-1Z5D0WwhXZnYPzt^*cNOn zwhh~k?ZggX2eCugVeANY20M$L!){`?u-n)j>@M~L_7r=DJ;z>PzhQ5&-*F+1;36Ew zFu9*Za98F)Tk zfEQ};TD%Ug#~biQycHjZPsOL<)A2?4Vtfg{6kmpS;%o7(_%?hyeh@!|AI6X1NAZ*R z1^fp7J$@7a34e?~!Jp#K@K^X-{1g5e|00%&^~CyOxtI_$ViU2c*j8*OwikPey~QfA zkJwl2FCHKsCLS&h5yy(-#F}_;5+jL~#7VLw*^(TIT9PX%lvGF>B#n~sk_nQDl1Y-ul4+7TlDU$3lKGMa zl7*5ENvEVsvRSf4vQ@H8vR$%MazJuWa#C_ia$0g-azpaH zPWdkRKKXw6MfoN9W%(8PRrxjfb@>hXE%{yfJ^4fVQ~5LbOZm_8*Ye*8jKB#oAt9uM zjL;)Uf+7@z0bxj(64rzb;XpVNPJ|l~NCXkV#9(4L5k`a)(L^$lPGk@{gqo-#s)-t+ zmZ&4@i3Sa!A=-#>#B^c?F_V}@aKvn4DY1-LPIM7#iFL$!Vmq;e_?GyN*h%ao4iV>w z^TY+>B5{ehL3~f#C7uvZiD$%f;sx@5@=x*u`H{jXoDx$s z#ZW9|NI6nYlr!Z*xl*2#H>IL{C_gHY8b}SMBB@v^j!L4EsdOrX%AwR$0aZwqQe{*X zRZZ1X4O9!&O3k2VQnM(InoZ52=2G*h`P3q6i-y`tZKJkRJE(7|@2H*BE^0S*k~&45 zrp{1jsdLm_>K=8UdO-a^J*0l5o>9-KU#K_KpVSBHBaP8GEvDr(O*6DHZAshHuCy2J zP5aUQ^k8}jJ(M0s52r)u5p*1#N@vnpbT*ww*U|NK1KmhB(arRDS~G#3NKc}t($nba z^bC3?y^dZ_Z=g5Qo9NB-7J4hajowc0pueSe(fjEA^ild4eSyA6U!pJ5SLmzsHTpVz zkG@YopdZsO>38%W^n3bG`UCxu!5EwoGc?06EMvl$GAhQ0@nw23y%|5opXtK{FnyVR z%y118!i-=>GNYITCXq>El9?1Hi^*mRm{O*mXa!F}vj(goYszXYSu56>wP&4K7gou7vAx&0Ob}xIF zJ;EMkkFlrN)9e}c0(+6Y#ol9oVL!2-*)Qy0qZ9%Ks?bxg3WdT{VWV(RxF|dn-U^kX zx57{1ujr=;R18ssD`FKXiVQ`LB41IgC{a`>Y86e2HpN)QB*k>aY{f#waz(ph1sAD5 zK=_E8sXv8X3ea|dZUX2zfSw2FMSxz~rj&;W@%{j9XmjB%5LVlk5H3RQ7c!gwAsbbe zH@GajrbJ!3Es8oxiWb32kv0m>#wJq#vOp%#6TTO0U)~nTowGI?pu6!&_@!O=xovUV z676q9Am~`$7Iayl7UUu#1WgHx4y~!q%qmt#tE)TV9ZXOV8x{?JaF47VMP1zh-qvxR zhypf+7_@cvG-a%9DxFd^HF>#Pd$qV*H}z5Va<}&L@72=6t*~(vuHnwwc=BY-b>QxN z3m$E2ds^_)w!nGVI+|{5bJ5iacr+t5>IAV=G>(mpD8>)t9=OUt%Nwri!9qA*c7 zGDZ|BiW1lWp&ST}+b#p42@ra;5sha{<}c5P_zjCyF|29v`n-d z2rYro3J9%%5bDGh20$g|iZ~7B<4nA){v+K4G7+FW6r|coztN0K$hr_@h>1I1V}CVj#TN z%?X!5PPiVf&wqdrvVEYtLQZ;=!Y)YCtub*oE8GA#*0RElw5%RMR=6q8>L*@fTw9D( zX@%QEX1FzOgWKZJ#-9M;Qy_c>gwMNh2iy^N!l8}70K!*52t|9%Gh=Zj&&&%5U-mHb z;WEbVZhP_U|AHW2n!HJ7rsXewI3h` z-B3n4sAD|Taex^1K%LS-UBs{GprDCEsf>B3Ydn+*XPsp3c?-YKL*2&j;CJzR05JoI zIY2A`V%dd1&_ewP5UXw|8y(bh9_j@^tb3q-)6B)E$_F8JH(n6PC_U#jCrozP+}Ikh**J5 z=RW{(1H$dPD|8XI+bhIoVoNO#F&q*g4-d#gY|ZoV0 zoDL<1AAkgDCFZYCVr7rSx#9vHk9;kUK|GHlo<}gZCCyw}F0SEuRER6ZRbuE6LjW=q zAj1GMyh~gwt`pab8vqglkdXix1&~m!$Zb3i0FV(qJjQB6z}9rebgFoU4sE&?EsRH- z#iNCD_tMRk^TdmJwE5x%;)UWx0Eq-h6hNS)FEr^`NcerCu-Iz<&S|50C`im3xq3q~0OksUz^6mOwI3U^h=7rA?WZqf7a!N2yEm zs`F`CPLGlfh>!BT4vG(n4~yZrm^_Y zzg!8|9N(mD(PI$!xp$Pk>bP zaQy_0)WTFG!LvBLPAO?2@Eu~0I35=JwO_|BrFdk zF$73sH&8PKn#xKnAdGRT4iQ$w%TV z=_P^A)CLe}U!wssrc2_lMS{*Twi{_Yj}#^u#3Ka*WLyu@(Ep?VcdJekDv8jsfCCL= zF@a|h#j}{mZBmNs}L+o<%-D zruVQY`hR6nDXG!1sMfNW$#;8q3~C=^>?LWEwDB~WB`uOx2~68KfWR?j4nXF1Nq}Uu zWQ=4iK;{8tF+i38WGT-hLo$Wu0jbXK;V~WZkj#+Gl*|$<00L!P2oUITZqOP(!rl~$ z?SgdnD4<^V=x32+sgBtaEwg33ewOq4S zbV=4}^|KKmE4%fxMyDS>^^<%HkX1dr_|#9b8`=*10Aw{E&*25K((hq$NODxi;)s^T zTAsymp2a%Ov%uW*jN~HE;;iJH?Cpg9|S-SNmF0j>AU*att8H0dk^CYRQW& zwE@Vv76RlpK<@F`F>;^BN|aXcSd{>| z(}Pu`H7Y4ID&E-PU~yM_<&7P;3v$;PdXJ)8r9j81P0Q#3&u9$K=m+jask!Gw=~SN4 zB(sYbRQ1| zP3YGis6)Jc9mW9v0SHX0p^fraC_CLF@+s+A9S=Bbgq`p^&*MDL;~k$a`(^diyCVIb zCv;VMO?q7lyW%}S{shPefPCzd-qaF;PV`AfNF?M5#YlhT2|*2g?jiI95)#)-pGluf zVJ0{VAYTCT7Z3>`A(S$v;0#T>jv##_eXAn{+3p4+geUb5k`jqvX2SY!>Z$ipCg4eZ zl75zck-~;BAi{x23`CMHnNWtvL^3#Ul>(6*hzKAec~-76J;+L?4@9zVRx+3_cF8Ci z&3}MM4~SqPf8{c&OiWE>7FsGYb1fALQjuBlRA?=gCEZkH_A(bpMdl!LlsU!EXr z`tV7$_ByNH0*89q*|vbQuYXI^7=W6E)(17Ky>c!M1$xJ2FJ-TQC=BLK+>(a%^b6Ua z&?-a`&>nPGE~(KL?@mZz@ZlCVTJh}kplV^n;GImA%(a7QTzu0QZGk@!!AYOCK&>sP zZ`Kv00#QkKK^b4LZ9&Uct)zNufM`s2$vEvJ&osgKcG$l{PmaeN(Pl+2)jO+q4v3(` zCj!xQAey1AC;^jr<>|czqWQ1|ZZWt5*G77hr1mi;+^o^o`Wy6(fv6pb;AohzZU1Of zEvkMX5UuM*-M}3hJ&mg!Yd~RN;2H${z;MPdK|JIZjkWHXbR8P|GrdQ@1TKk);9|)! z-IY5y&K0UzzX_`O&A32b1^SbK=umgdN3<=U9j{e^{zf2z9 z$@3~(G1-bQmRkbRbH4b(740jojW*x6=M`Ci>kiks0tfQ#sD%zc6SpsQj4xI6xVIvH(>8)BvD{05t-r@hbUA`6>Bn`5F0H`8hdUuQmaw zDL~BtY7S6{Zv#+UE@U2H<=+dxEbm^vmEYEW=ja7aQS$rp2LjjS%X#)X>>uSn!9_;- zBY;}A%O3;Os?8;xBGvWfnWZ`EoRDgDNyy+TZJdxlm%msJP;1@7H70-6!LL+v6$=d0 z<-f?^{JYt=@=v@-zeAD!kiVDzDgPk<2vB>iNDcsX1gH~0odN2yO8!~?MgA8dAcO=$ zhyV&Z%N?Me0969i3!uIL?FB_L=PKqU`RK!iX#)0!TiX)2=IyB*SY95IT~_LuSzcZM z|E*G2Ync)>Uk~cfqiqTIVg7*OMuhRd=n-ZF%!%3ws5S3)!V;jW|D)7|t^7qh0ejpB ziq4fSFwr;@FqHp8g@ild`~Oi^q8HJd@FV<*K12Y~7odIs^#^DlfCc~*{_F?P!0t78 zqW|9=NDS0|Pp2$G289H3#tUrhhY&;m%^`$H93C03F#*v;cIJcB6m*aHX6WO^g9(C_tmXZWIvXiOF#N zpO`>QBqjkg44~lvjp!t%2yBR{0F8tT`Dhf}DDdJE7yG&qbBG21QJddZAQtO(3(y#T zw_y5a?%Xn~-b6bbg^3P;#b;)VwX;^&0ZTg>;xciS*Yg#C=Cu>o0GhAU^G#mQw}{&SEdXfg z-+I1BJmfWZpLjt00MJ5!!cH#kB!1NCx#XXEws-H5_a*Vme>|jBHw^InE)H78oml4J zPVj3D#Ge4I{HLNn5ub@K1dPKq0IddSl~%ny)@N@aBJqD~lN6H@fYt)E4sJp%UG9`2 zCy9TljHF2;Xs9GZvZR7EAYo{21ZXorTLIbz(9tVMW732)CCx|}9mWE53P5K8bT&X2 z{L4Q`8=WsSaW&I}q@<(nR|~hF|K+CprQyUg;4d%TFTh3czk2C@jo}u|=*N)$WB}{| z5{7_r?Ia8VVHx8j>*D3>|Y#cPr_N|WJaL~>Yk2n^-*5t-Hb9b}}S;GZ{iwW7%w zZud-6l#C_gxbJ8BGh_mp#M6TI{7pLvt#m3+OGBpfv@!rX?Z0R_l5jxPae^*8o##{_ z(32UHg=8okQvN|>aAtKTKxY7S(q*zlphuRHW$|Iro;mRLAfW)DGj+5o$ja2Od!z6f z+ssa~f~*o0#DzukO>|orSwq(GWMQ9k+~ZlU4B1S!@;4xhIqjqdpmTZlapV}DJ?znW z|Ha;mgdMG84*)va+lcN4=stiR1nAMP(S!VfgqcPs z`H=jPd<4)P0R0xA-*sZ2$tNUSMS%fjCqQ@c0m_kU-($&@bT(^#A>aPTBa+eNJIDbp zW1)K>hc=f;>)1gx1;ss!vg9WU&inocNw1AUpt?oS+xG+XK$}ZcfOT^G|7etwQ2O0Z z_x>kQN=^|J3D83TJq*wzZ7y~Ly0`PP1=bFTz*=j|l!7eVwzO-iVc5*>9-@pWOM&Z3 z@*!nHnUaqvbIL+1{V{;Tc>NtfPXP4fO6;>}JKVvv6>S&6&03f@djj+nKw(bq3IFFq z*&Z8i;U0~~O`u1)Qy#p(R2P(};qY?3f7Y-kupdury@pa!UON9!cTh?}K{`dnl;vbL zSZ7vSdk1)XTStUa&$U?+Q+zby@3m7f7+vU2MyUQ@Yo_TQ9n^h< z0q*KkLj>E`QbSP%HJl2eMo=TEQB)`uM!_){?he7YdKIA80s1{aZvpfUK%s#=0O-TE zz<=b=RE#btUfK3;Z=sO+npabay8G9-pdmxJKkX=PmYu0S)t#E$NT(`bY7)xD^ioQx z?%d=itXd|e@^ug1<~D_+T&}OPK2@nJxSviHd@Xq6 z2Vv@T1wW)yxnB#6Mi}W+&ANgg)2aNP0?p9kva-skvO3SIx`N#5p1#*m@O;54s*M8F zXle{KmKsNm2k1`#eGJei0DTJ3X8?T;&=;!&Hq<0!3^fJTn2L;tHw?;K`9R?)?4}1C0MJ&&(S1kK%O7u&K$%zx#xU zx33pG%Hi+l*V3Z1*#%@-IE`6w*AAo<`dj<>Tk|!n{eAjad%-_%Utcf2wsmZJSag7Y z8D%FJ49~7q3o-=-f?`1&ys8Ctf?z?JpcLM%g#Q-9KQ;X3DX4-a1%h07-`ZLsuoesv zQRFX5gePXp zL}TFj*tzgv$4b#I(J|5YqDP_+D25WK1!{%bpmwM|>VP_-&ZsNuj(VbAs0#H(dvhy~ zTG_+F{HyaXrdA4E*HBBSrPMNNIXnf>L3L7H)C$h#xPcEq-vRWGHBe3)Y7MoPT1Ty? zHo)(j;IcL}fcF3!0dX$(Iw49O{|E~^|^sqPsHsXf#o znA=c$seROb>Hq};FwCbu0Q4h3KLPaf3hFR*ggQzcqhRRy0x)BMIRUH}z~FQ?owDYH zw~V;oZ-Qj%JX|esT}fS_E>f4M%hVMLI`Lls697yIFa%&CfT1g?>(mWo3^dwX)NO!a z03)H9UIrKqFothW!BhOlM6xQTQmy6;Z-;Te-m>Q|-LmO97(_jy9>al;`UzloJM{!$ zVy@-3nTC2n!40c+>LtJ=?bOczleW41?+8f!O2NhU4(d1REx=>|)8oJXq5Z1g<`VHA z4}7Be;Q`kALP43}BXWQd{BQWD{S9x)+Fbf21(%gpSC$nQt1DyT3eA!!o;_2Vph;b_$&DO=z>PveD)MQ+%D0(^j+%Uj)U`Yo~1i zX7G2B1MS2Y!LF0H)6M`h{JY4F_JBpSJHU+EwQ{rxUAO_~EP9kot7te)ZKr(zX3|c> zJ~!p?yADda58WTmAm{+PFWrw01eh7XARP;US#}BP=pcF^JqRwKU{(OL2AB<(bhp8n z9!Za)L+LO&T%bos(2>XrK?WT|$8s<3_O^5Ym@V9g0+>C(9IwI(@pJ;6NGHK{l9{3s zZooZfS36iNRA`4QI*o?YB?D+FgEFhL^M}Id7FnaNY=}`;mUPe=+{}CZP&$WJbC>Sf zqI52t3thTrGoq&_^64^YrgQ;aNEgw?)D^lEU{EVA0E3L(v}WqQQYNA+co(Cqkum&2 zFXo|jFU*$@s@$dfX58reks~Z1h3NdUy71EChLF-~b)~wh8fIL)38+hZ;yK+y186#Q zE3Kj10OkoWCBVEo>CyBUm`P#Y0P|@hIJXDp+|dX2T*(99IPGvrPloFe^b~-p_;rh3 z*<~f3nVDH-)#~hg&%g5z&pKWMMFrJxHRJ1dbv=Zyg7eE1zuYW;Rd%LVpPWoDXkA94 zZim&t)UYPIx~8%xC8TH3O9ig$Xb$RQ4n3EiN6)7h&m5L^g)0PZKn?dY#8VJ$jyU3F6dZApP*0Dr|8r48Tu@J z4q(Fp76Pyl02>LgQ2+}CSXdiey71$c{p7xF=c5oIP2Zqz!8k#GPu~Ps1i&IW=JBXx z`Um<)h@m@^NIwEtba&1}KM{0v(ogAU^mBm404xb$sb3Q%`W5{KPHO3&>DTlx0E-1! z9Khl`>0hDZpxY+!ib~Y3IP7_Br=dSFg8!(^2n99_)Lt@Q8y+(FcSN@f`sRUl_o-VM zjD*quuSVps5fWf&d?V>?N|^3J^~Y8h6cK*n_(AIkl8+)kq%JhbCmXjzUreVDqmpr$IRIJ2RwrkWo|diV!2 zgJAe#`ZEKVAZ8%IN&!{|uyTM^bTPrqV90GKz$yU-*Ucdxc%(OBlsdPX59f(d1$p_} zZ%L!H&1!+Pu_(G+1@*^Q(sKL92+M>r{N4x?#)LBwOeDan09FmK8i3VuM^DVuXHuDT zUe9R&tLNBLwsA}jll#@c7^ua@ZUbWq;VKJL#1sRp31F>XZHp;ms{X5^t6@ji0<4+u z=oW6>NizqgiP8L5%{EwbG{7``%{K1ENppsoz)a#`bNuPJo$CfKBG}dhNzNGzgHiAsfK3J1GysntVKV?W za}~3R+01NVwldq8?aU5<%>oz)uw?+-2r$^@CV*|`!08QM%t7XufazimF^8EW%u#^N z2G|^c%>~%JF6OxYW#%Mv3Sjer=zD-I0@z}Xyf#g9k!N-ZU<vS}5h%!~QPwK)bQWz!Q_Z0k#Bg>@g4F#t*jie|FJmzg^duC(KiU9`lTO z&b(k=GOw7Q1$EH82bXchXTxM`0oD#MDE)Ho=-EDlm|uAczcFu_-j2mafUN}B zYN$NsPv!$`Xq#jv^EoLb2aY`jxdrOVSnV1PuMW89hjqb$-4HUCr2uXhSounfxzG61#? zVC&li=~SL}<|Wy0YO5W`StHgMI{4tYnC{c@)uYO?Gx>$l4%UPVI&XopW~@1vdEOmi zEx76Di{kz<{bL#JgbG`y9W7Z0*ki0Cz~Gq+m>T?jvfbO;A0BP*?bo}drMs6{SJoXG zFzW^|_=pFG3s2s4vbk&?3uEpPfI(9~*2xwM z>R1>pj`KbANb7cNC7hVEFa(|WTgF-zE`F_I>)3j>fo)`)*k-l`U?%|vUE?&s&H(Hz zz|H~e{3=$%wy}U64gbbcFiKtk*hPRr-?^jx|3^51hi*4(BvW3KRa}tmSyfh3nXUH7 zhVi&Z|1;P*&>`8G>@1dJp*KQ5gNecwfL-kpl~B;6Sh!WHfQu$P=WA_BE_1^8o}q_b z&UR{DjP206*mby5&92~gs<9jY>tp%_|L`$(ExV3+CCFf5fcODma6sR{XPggo_On^A zy@TDtZUxvafZhEXkk}pUcf7I4piSIvXJLfA!_B=kjA8e&a8bRTg?)9eorTH9eeU(8 z5YrfzWlsVW%Ca6{5BYVLz7+;8dFfOD2MBX+{&hPIdzM!})a6fnuJMm|FnoRd{%?kQ ziM{q;U3wjA2rB#uubxkBN*#ax%n=Lw0G-MwGl2aH;0bcf2w)%Kn#|Wp1N(-3$M@f_>~HK__IH3i2iOaMy#&~+F7^-pSON>5 zf=9St0U^}dFMNJs8m%>h;IbU9WP(Pc5JI*J1Yobb}=YxF} zeR!n=01O^&`IlkzQTcWo##Kds#Q;T+Ku5sJue<=|p~3w6Uyk8a>;_%21fB0-U; zNCG$la1p>!fMfsvbYuIU$|=w)2gkL_;k<7uH2>7gzr;~gLV-dBGx<3oz@^Z4aX19% zy0H#=FVx2%trp-RoPR&q%B&>7xM-55{XB()>evgA}1MW5qwx+GE!$rtkqpF^vx>IE?OmK*4DpCHV4BhhnB;7Qjh>Q(wJOF-I|v4;+8T zldq1YSfp4Yu>V(3X>(yUf9d|yj+lxLMQ1wY#LYZrVyMeM`Ls3+2G9^~z)L*T? z4xTqTs{ca&yc@&dLqsMsgFmncPC|C0EIP<$m%2d7wN<9xNX!50Q_QXUR44 zZ{(fu{n)4QU05SRMfkvXU1N!OA`!mjnhM`@%_Oqnd#-tK*S8fW{-cSp#CQ$diJeSL zg>SiX#9U%NahP}pciRHVI=Dq~kCIZsR0-7xU-s0%Rnf83c=)pCB5D;}0$WFIpdD!? zT#N{Y?_-9+rGp&!9%ci42eSpvxB)$e9!F1ruVF5xm(t7W4!Vn8Nw22Y!dEdj!q+f= zWMuHw$q1&6(d=ZN!^3+goM3OW zkJwi*1b$?{z?TdW_==&e!X3U+7yw@??5_w?>{1+7oKZYbJXAbVyi)w4_*L=NKxiN_ zkQwM35C#SYMg}GZW(F1pHU^FcUIu*(MjFIu3{nl$289My2BQro7|b9{$e69K}=8++{DbJw@HLa zl1ZLPxk;5tjY*wJo5>iHaV8T?rkKn&nP;-VWRb}MlanUrOfHyQGPz>%z~rgP8cAGNx9h_NI=e&ZZuwN>guBAJZU>=}6O1({R&B(`eIJ(+txr(;U-W(|prH z(_&L#y4dtv(*vf5O^=!$H@#qb+4QREb<_K%4^1DLJ~oq?v1X=b=4O^=)@HV5zGlH@ zVP^4WX=WK_S!OwAxn>n+&1MtKW|%E8>oDswTWPk=Y=hY*vn^)(%}$w}F*|2=!R(UR z6*J8}vj=7m%^sOOHhXIJ-0UxNQ*&SQK=UB;LFR+aL(L=1qs(K>Q_VBXv&?hMCz{VR zpKCtfe4+Vb^DXB4%}mX|EASlL=Bt-P&#ta@3Evzlc! z+iI@Wd~3qm%-Yu4-rCXH*;;AsZS7;-%i7O6!Me$MvGoe;mDa1R4_KeHK4X2(`hxZM z);FzhTWcO!zp;LA{l!MlhOtrD7}^-ySlQUv*x5MPIN1!hDX{s*W{%B#n}s%uZC2Q< zv{`L)z~-#Y6`N}|H*9X&Jg|9a^T_70%~P86KRekwc{}+!^>*sx)YmD{X@pa}Q=-Nx*(ud2-6_*4+o{~C z(y7|1)~Vj9(W%*~)oFrLm(vEPqfW=2PCA`-I_q@a>7vtRr>joaoxXRv<#fmCiPNu6 zpPePnth1%Fi?gru0Ot|T@y-d(iO$K+InEW%jn2)^tB;&n4O=!==Qf%%#Gm%B9Ao&ZWU+yvszF$u8fxOmmsx zGRtMQ%QBaBF2`J6y5g=TuC}hDTw`4mT$5Z=T=QJ3U29xxUF%(e>onJyuAJ*!*9ESN zTvxlUbzSee(M`|I*v-z((apup-A(DHatm||atn4F>K5WQ(k)cu*6h~dcERm;cWZYK z_W<`m_aOIR_o40~?xWlj-BaAt-80>D-1FQE+>6|&x^Hm5vyW$xXRzl`&k)ZD&nVAW&jimT&vwsyN;9Rsa+q?2 zGE^C%j8?`e6O}2-bY+%Ot;|;zDNB_V%4%huvO(FZ9HX45oT{9qoTpr@Y*(&Su2XJS z?ojSl9#9@to>N{{URB;u-c;UJK2^R@{;YhXe5-t?{L2f~c!|AaUiw}paR1EC%hAil z%gf8htCyF*SAbWrSA!S>?0VXM@jXpKU(h z`t0)A>vO>8u+K4{lRjsB&ih>Qx$1Mn=a$c1p9ela`aJe|=JV3$wa>3Uzx%xR`RMb- zSLloSihX6ia$nNd+}G0A+Skr^iSJt9^}ZW@xAc{N4OL z{TKMJ^k412)_+4ElRl1pocp-;@#r(D&)h!q`z-9UBtRUX2rvvV4loOt8L%{9c|b?N zioPa&9s4@>b?xiXcV*vgeRuTzuJ7)C-u?Rb3+gwh-;jQt{Wka8+Hbq2-*8-oe4anjyi%f=2}J2|gKoI{0kxg~5FW4;?&w@QA^o zgO3cpIQa75tAlS089F3-NbHdKAxT5_4>>dB+>i@HE)N|rbkxwWp%Fu)hwdMGX6U)0 z7lvLQHgZ_Pu%uxr!_tSH8+L2honiNe{V;s+@W|oO!()dh3_m{n%J6H$Zw$W`;u|tB zBsgS9$ncPZnvioL7eX$DTpbZOV#J71Bf>^RjyOHy#)z9EZjZP(vj51DBSS}qkBl0* zb>xAOhejS5d3;pBs9~c*MvWX5Hfr^#9izS*wQJPgQ2)>&p~FH$LPv$}2t6EnH1v4r zsjxv|;bDn?GHN`b|k!i_{i|k@bK`c@NMA-!%u~u4cA-)Uh z;z-2Fh%*uABd$bTi}*g`R>Xsd*Ac%){1qvQ)Qcn{=}1MSMWl74U8G~AOQc)m@W|50 zS&>^JcSr7vJQ#U6@=WB_$Qzo-TakAoA4EQkd=&XO@^$2!$S+Z%C_G9UMMP0iY?MKi zeUwv_Z&YAZP*iZ#(5R58sHoVegs7yb)Ts2R=BSRS<553F{T%fs>TT4!sK25m(R$HD zG##yoHjFloHjTE6_KNNm?H}DYIw*Qj^pNOb(Q(m<(YeuO(Us9P(e=@qrs%QJ6QUu%;;6XZaY=CvaiinL#Z8Qx9LL2ij$0bHJgy_ID{f`nwz!>f zd*b%T9f>;@cQWpD+|9TmFY)4dS-d=+jA!B%@!s+A@lElXh4G#7 zE92M1uZ!Ovzc2nk{Gs?G@yFs%#9xiS8Gk4Ke*B~O$MMhNU&Mci|C}I8U=s`zOcKl! ztP-3P+!8z!yb^p8dL=|9)F&)X*pqNH;Y7megtH0P67D5DNO+j=DB*F!(}dp>J|uij z6eMDa;zU`Zexg~TWujZ6Z=zpfKw_XKF(@%4aa3Y>Vq{`WVq9WX;)29|iFXozN_?95 zBJow?yTreegh`?#EJ>UsO)^X}OR`L|NpehbPI61~Na~j~AZb)mY*Io}a#C7SW>R5N zNm6-IWl~L2UDE8N-AVV7J}2YJ(q#Q)BH1X}I@vbaKG`wZIoUPYFS%dxfaF2RnqkQy z$)l3Pl2em2l1q|nlN*wolQqeslcyw4OP-m`CC^QspS&aacJf~-mMKmtt|=ZV$`t>U zfhmJihNX;12~7!4iA+gL$xg{lDM%?zDNm_NsYw}|G9hJl%951jDV-@RQ`V$xP1%vM zGi7(mzLWzgcT$m5$J8OIVX2X+F{yEy)b!N+)WX!_)Y8=Q)XG#%>e$o?sgqNur_M~B zojNylMe6F*9jW_M52YSWJ&}4k^-AjX)SIbyQtzk!koqOfK5ckfURrrtRa$LYeHuuc zoHjLWMjDqkH*J2}!n9Rs>(e%+ZB6?wZCBdfwEb!4(k`amO?#a7EbV35>$G3fKBj$1 z*9gg-7$SsdU^Wv^!e$F(wC+$PhXS1HGO;fx9L06cc<@7KbC$X{c8Hn^gHSI z(;uZjPJfpEBK<@9=L}f}n_-w?l3|`mf@M#!nefGhSr8%6OgeCgVe%R0+8%Rb8^ zOPSR#Yhc#ktYKNBvcj?=v!b)Ivea3XSxs53Ss-g{)`YAXSzOlKtod1svX*4+&U%oI zW^3%S-LsY1s%+ov!0e&f!?Qt~U&dP?n&eU~$QhgyniG)|ofDgrmXnuLkW-XXl2evbk<*$p zCTD!kq?~CvGjh0`IXPW9t8%vI?8`Zrb0p`uCg)Vn<(z9d-{;)Qxtnu8=abr2Jyfk$ zm#Qn&)#_TcMm@U0IpjIz4bCgfo0hjB@7ugxd3*Er z=bgyAn0F=bdfv^vJ9+o=9^^gD`zi0|ykGJ@=Og)8z9e6sPv$fEihR3#$9$jse)$9P z2jvgRAD$nXACn)SpO~MLpO)X0-=2Rg|55%cP5v+Wzvcg)|D`}&AS=)>APeXMw!omk zw!o>twZNl5Rp49TSJ0Ev(T$hRp?vTyD+$Lc;U#xu)^rV*usRuq{4#2;=+c)(S_p*Cl*dA z)J!X!SGcfnN#XLs&cYRihYOz;=@+>b`4;&V1r+rw8d4Ni6j>Bg6kn87lv0#dlu?vb zR8~}7R9Dnkq$vVLV~fTY%_*8+w4!KZ(Uzj^Mc)?lyy#TXnWFPW7mHpMv&DYJ zamDGy@aS1_UU7MGLvd4aOR=UH6ptyMQ9MUeJimBR@$%x1;uXcKioY#BQhc`fLh_(}1z;+Mrgm*|yvm&BG-m$a0$m5eDFS2C?+Ude)zMI}p0mX)-ZY%JMU z@@>hklKmwIOOBKrE4f;7qvTP^tCC+zek*xb@@FYhij_)A^-76Ss??)2y0orzZt1ep zj?xvSnpLHnOLvv-Ej>_rxb#@*iPBT0mrL)LJ}P}u`n>dY>6_BGrSHnHGD(?1nPr(x znSGg4nQNI(S?{twWqr%~mj#ujmW?S}Rd&4WLfPfAYh^de9+W*Rdr|hP>~-0jvfs)D zwdUg%KFNt%88XTD`!{Et6W&Qq;h5Dn#%Q+n<}?fZm+yl`A3ym zRX|m6)zGSts*zPORjE}ORoPX!RRvW=RV7trRrOVkRTHYdshVCjt7=}=f~v(;ORF|i zZLZo^b-e0S)!C{GRhO%7SKX`nq3Ti9ld5Occ(r?Vgr>Tzy1u%py0yBkdQ$bQ>N(Z( zs~1%-tzKT;QN5{pd-ZqKyQ>dWAFe)DeWLn$_5JDx)eozGs{Xb5WA&FBVGUX%uAyq! z8p9gn8nYUUnt+;&nz1#VHS23O)oiWVUbC;}c+JV0(=}&n&evS5xm)wF=BJvcHLq%3 z*Zf-ZwpLV&*J{{W^IEG~+ggWO=UVSt-&()gKDGU7``0Gdg4z|eM{Cd3UaY-Rd#(0f z?UUMPwJ&O4)xNHMQ~RY(REO6|>xeq4j;%AOv#)ci^Q{Z43#tpQ8(J4q7gZNqmr$2n zmsXci*HYJ6ccSib-Rrtv>wd5Mqh3%it=F$7>zR6kdLvD}NxfseTfJw!cYW`A|N6f5 zf%T*6!|PM()%E%HMfIii74?nvE%j~nW9rA(Ppn^2f2RI*1JPjAVA^2OVAbH<;N9Tc z;MWk)5ZExFVR*xchWLh*hV+K4hP;M?hT?|OhL(o5hN%s68x}MyZdlgP(Xg&zW5bq) z?G4{G>}t5)p!w8j-8i6ec;m>%u*QhS#Kx>fbz^>GQDbRid1GZ`bt7mT-#Dr9o5q=q zT;trv`Hia@w>R!Za1Bil*wO+9plY z#HPtj-!x5Yn$a|?X<1WO)2gPmO`DpwG;MGCw&_^Y$);;f_cTpEG(Bp1()7IPx2AVZ ze>Qz=`qC_DwrCD+&TAguJiU2V^PJ{+&C8qDG_Pyk(7dU6OY^qogU!d9Pd1-vzSw-Z z`C9Xh=Eu#?n%_15)q=EOEs_?!7DbCui)o8_i&cwFOaGRfmWeH^S~je%Yi>fYL`)xWiG zYyZ}Pt--Ast>atQww`Le+- zTaAOpNz+@?M>A9tu8GpbY7+jh2JXYJsRIE3u)ChEbt|GM;yS5=>S>*I?{QSETCIEU zTCEj9U-sU4kVyuKMG*uMQ7&Nxt!BJTcrSSwke$3>tqa9*-u)fl@AmVCN2v%3HL2-9&Q0{F>X0-C2kFF9d0M? z2<|NI7u-eMWn3PPfFt8*I3|vRJ8 zL#iTGlNw2nNKZ&6l8xjbwUS^`l=PDHf%IQ;PjVmf7v#a@VdRnI(d4n@apXnhgJd#U zORgYSk?)c3lN-oS$bg9qk?mv`xsB{52gwog3vw5^oBWZ|lhT{gkJ6tqijq#5O39(j zq0FN!pe&}WrmUlEq->_-QnpggQwk|{6gMSEiBRH{B;_UL1LYItGqoqRH?=QyD0LJy zoti<-qE4btrB0*Hr!Jzdqy9kMPTfh}P2Ed9Mmr5(>#}qI@fLX*8Go{Q@W;wHxS9`^^o^Za9?c%h9?#BVPhu};A7?Y!KpFcUyOv$Y*0CS4A-0X}V7u6D zY=j+QC)u6s=j_+)E_OHj17`qd6ep82ku!xejWeAymouNUh_i&VjI)BXpF`%{;ymRz zIISFns0U{}}%g{~G@~AIGQj8GIJMoL|TP4`0Wx z=bQKt-_CdOVZNJ>@n7+Q*ZjAF9)iAt;erW*EWt#ZYtbT_(P$h@OEKsVO^oV5NIqk z6h1Dr7rF}JLQkQuu)Q!?G^A*8(di;-QA?4(2rGJ4^moy#qOPKMqOU|FMCqaoQKl$M zG)pv3v_Q02v{bZ4v|hAPv_+IF+9x_Kx-Kda-4;C*HHr+P$D*eqo5(3@6(J&zC?t9- z?ji0i?kDaq9xa|Io+6$mo(_m-iLZ$%Vxd?pmWdT&jrb4oE%9w}wYW}f701NSC4(d* zCG#YkBtJ=ZOZG_iN=`{GN-j(CBn1*cLX$8h90^|nN{S@SlE03NcTw( zN)Jnam*S*kDNV|hvZYd~TB?bb7#bw16#i8Qo#V?EB6u&F}Q2a?TT9Kug ztoWxQTd`EJRq?Z8zv7_ckm9J~gyJ^^T_IN}6*m<%iaNz(g;fz({H6RtnW4;9&QRtk z=P2hX=PS1>_bLx44=axR(^Pc>h)UA0$rKy_GkRCQH#O$DfMDx!*_5~_++8r2`F zTdHzZrRuHr>e67^>p<@^=kE2 z^fh8?)cI!ccBm8TPbEW3vP)K$ zMp zMsrbfS(B$J&=55MMMKxHG#t$XO;kHXo2H$p&C!0RU8r56U8Y^D-Jsp9&DCzzZrASC z9@n1JUeI3B{;s{Q#cN4gs+O*0X~o(b+H!5Bwpx2%tJgMa4cf=rCtAA}(RS!g>(1%& zbu=AQ$IPmHGx(Z#D?w+nzSEthfx(Ic>jt{+xEvVL^^ z*!uDHS@o0ZzpbBBKev8w&d8Y>&`Hr6zyH35^G zrZ!D$n%;Dysk*7AskW)Ec~0}P<`vDWn%6epYi?>bG(T#7V#qNpF)TGKH>@&L8uSLU z!D?_AS`CN+HTVr3hUbPChS!EJL$~3h;d4vRmfkJnTV}TGY$3F0TcVG8JR1Ed%ed0G z#<bL1U3oY?K)lMzv9EEH&OTmK*OFpBPc&GgA-K zNYiT5R?|__MH9}%G;vIP6KE2dq^29Do2J{QJEps)8dI&wV|r^IY0frpFz+-UF&{Ud zGM_d7V!mj;Y$lp1X1bYaW}A6tp}EjpZuXkrTgF*tSe9BgS%80AwpxC)?6T~(oU!Ct z3M_zyU?E$m7J)@+(OOC^WtK`ywdKC$f#sp4#bUQ07S!UmbXejR%<|0gx8D$Cd7gG5C|0k zkOuk#x&@U(mC#+N0cwUGK~EqPWPy@U7t{@Xgg#q)S^HQstuw6itP8A*txK&dtQ)PH zt-021)*aSe)(cjiRc^g&HCnCKxb=nggRQS^ux*TOoNa=!& z#CFVf()O#3VdL6LZH=}^HUP5yWqV~GZl7SEY5&&#FZ*})h4v-(W%kYXT>CcrkM^DR z-S(gD`|Npkp}oTX)Sj@vv46CGcJy-eb$sO*Dca|Irt9HA#z9@4oAT8 z#+l}v>|E?z>RjPm?Of;F=-lG`!MWYJ)4AKZ*Llf#*_r3ecU}jacqhq8buyeRC&$Tm z3Y~YHkTdFh?dtE!a?NvXa2<2yy96$oOYTy*N?m2H3Rjh@-eqz@F1yR+f?aOc$JV~B z!&^tSrnhFaX0}djozgn3^_$kCtv6ePZE0=aw_RwHx7}*H({{J5rme0`*H+)w*oL%q z!~NiYz`$4VAb2P|9L|6z!BgREcm_NRUJS2<*TC!HP4G5&2fPd31Mh`T!&hJ?tbj}5 z8dwK6z|HU@*bG}?JM4nn;4s_?cOyNJ-bg>>AIM;27%~D$L(-8M$UI~vvIbd?Y(lmm zxyU&rAK@WFqzI89azu%g0LTsGCUP6OgR~%jB1XiFK!^=-B5jBp@gf1F1L<-Pa%Z`7 z+-u!i-N)Q?x5|Cn{m|X)e&l}QHn|};?Dn{Q?sj*`9dSQ%zxDL;qW}1e)jhA_VND1JJOrs&Gb(6PVvt0=6Gj&=X$^M9`@#Yg@9M?ReDRj zTJK$NlefkDr`PDUcx_&%x6K>z#=V&LnfGt+D{q&#+xx-S-#6Sh%eT_E-*?SN_bGkl zzWct1KAo?@*X%R-AfMgm^1(j0?~Q+qf2n_;|G58@|E&MK|B4^)C;6#w1{y+Ujf587D&?7J`Fe@-SFgGwiuqd!3a6WJ)fDe!Y)Bq#E z4sZkVKxv>NP!+frs13LRzQBj}9_{1Xr?<~)pWQyUeMS4~_OAgL z-`WZ7DaHa-(tVVuEq*tYGU$xL#RrOB$~gJerGmVB9foqU^opZtUk#YSLh z*cfaamWfTnW@B@)`Pd@tdu$oD83S^$ZP*U%Fm?<(iJignumbElhQ~M<9}{9lm>Ro- z-NkCKTFizyu~rPhJXi>eV;J@fd!Fi_`ZD!(YDj8WYHVtJDl0W9wIsDPwIa1TwJx zTiOCGg_h#a>?LXGul@f2&+94O&F${&yx;S&`OM7DoDKM{R(!@lgxosFA94ABA}E1M zQ3hpE4&_l5YKmH*PN*B|j;c{#)DP{82B8Cl7UWR>BrF@t!E&)YtPyL%nlT-w#{l*j zHWnL)jmJL6CSYG+6R}CyWNZdD6Pt(4$ChBLu{GFQY#p{9+t9uYwLx^Nh}A?pv7OjK z>?C#(`-rcIBg9eSYvLQ?EOCxFPuwQ%5O;}t#C_rs@iXy+cuG7Y{vcive@byFA(cu= zsZ44l<)tdAvD8G`U1}q>mD)+Yq-v?R)JN(o?J4am4U+bg4wVj*4wptrqopy@SZSOz zNt!7wkQVBsMbbKHy|h8vC~cAg=@-%&(wWj((xuX6(&f??X{&UVbfa{KbflY(p%Eo(#O&tr9Vl3mOhdGDt#sWNJ>bARFV`)lMKm{#-t@_MLLnr zqzkDbdyqZJUZg+Sha5>=tajEE_HxBa4;A$>L>NS+*=kmMhDX70D`Pjj|@$MA;B9l^2_oo@(1#VgXQ1KAIZOy|0w@e{#^c>{Du6j{GI&0LatCK zx+#nlN(HMhRhTKv6&4Chg`>hr;jHjeXcRpZJr%tay%hr#0~I6Mo1}LTUAuCZa<_87 z@__P7H>98e(HzawrnEinKs(Y-v@`8O`_g{2hVDTJ(EaHC z^e}oj9YT+wN77+*6rDz=(>*ijOgf9srwiy(x}I*J8|fyxnf`*FNKc}t(E>f2o*%1s2F3$gfU~>7qbvza-}MrISUnc1Rawldq8c4j-XgW1XKVvaCJnXj2| zm}AT(<}!1IxyoE)?l2FTC(Kjk8S^Lent8)YScD~68B4J=YsQ+h7OW*}%lfezwg=mj z?Zx`D0c;@Kn;psyV~4XL>xmST>bSW7F9Jwver0>)3j>nbm#9j%6pXU$9fy zsq9R44!eL|$Sz`+vCG*t>^62cdx$;49%E0j=h*Y?W%dSpm;ILgfqlaM#=c_Tvme-x z9KlIBl9O>poRVWXW6pxJ<=ie~no4GCAUhW`wp1aN6;qG$xxcl5A?q}`=_mX?V zBRs*&c_q*C9B;yR=Ph_k-j-MM-neond@7&D z=ko=8Az#kd^3D7>UN?!K%+KWK@Qe7x{2G2Ozm8weZ{RoboA|x_m;BfKH~caF6n~4q z&EMhg^7r`r{4@R+{#X7v{~P~;|C4{szu`Zqa2264QZXu4Wvnt$nX9Z-HY!_{oyuP2 zpbAp;Q}tH`s|KhBss^bBtA?nCs)nhCt46BEsKWeIF{)Tqx++7JsmfAmRoSW>Rj#U3 zRi-Le)vB6RU#KRkCaGqt=BVbXmaAG+t*SLbfl+_tny}tzGT#F5Hh^yf_<4X|0Qe<< zUv3Xkh9GipfM@C5QW+OvD{a}ni*iE{!_RJ`N^%C5WL6bviz|h@w6?v7IYuK(1Sh+~ zWaLlD_T~DZixP#T8}b%;--5i;FVZjWxc(?#$ur)@*e0AEkrUI773O~MCTXJm!Y(>6!*j}n1?Jw0vx z19~M!&+d6SkGXt)0$j%vACwyu=Y8+^&v3-U8I=QvP4jY4x#lo=&EE2{%M5(_B5ct;~ zAdY%B!NSW^nCdx0DDt%J!QzTb61gZsEE!9exUaxcuv9D!AT&T2fUqlIU71*xSPKUb zJCW32;eoolP^sP+lP}r6nPIR3tPm^0im?)`6f1*&E3ite3jVFZYOy-39w0nGQ~)ss zhzUTt1H=>{W`^J90I>jwrQx>~K&$~`0}xxG#yiq|ilntwQiDy?y92~QAIuy3|o%10K^p_ZUBLe z6q~gYARc17JcZDCKEh)!Zs102>;Edey|b`d@9hv=cV8m@*M+eg+uKD+c;@Xj=D)yB zcE0Z?zCZ5xKi)r!UHng2sKP7oH6h=}37-bV&@TAgL=z7JpPLx_rwq40gy`ox!iHq2Qe*7vBRNX4Jz%j3E~&xw+^Py4NR|zOkavjum78= zgMSy(*Te^r=^Gc~E%A$TU>$D^}q$*Va zTNk zA7$xnFLi;eqz+O?sgu+hAddm^BS3xv$j@z3SE-xSUFrdlCjfZ{kY51ut4NCT6G>?R z^0bRoFJWeku}~k)XZ4p3>_8h}KzlBt4HnUU`?qLTKJ4NcA`KNujF66$j*^ZB$V-6y z4v;?p@~TZbMpU9S0w8~OD)EhhL%hf#0U)otI3z<3R_0mKoDTeK1O8hPKTpJeCmfHl zR2NGtM4%FBskBU54v-H3`3O)6K#?|Sr2(i0pim2Iel1JGiC8g&9u2A~xp zP#Zv%T|lcvAd5{>7`LEXNVgb(=vHE>v|V&zlo1s1mg?Qo10vEM>0aqRDZI-8ln1B^ zpvG;|g9fB80SaTYp-H9&q~jve34nI*LON|gx+J~Yfdt(MHpxsxx^6%+7d#Ry)pw*1 zMWnmZd(!*T2LQDMs1-o10cz7G{nmi=JwR0JZBvdTtQjpVGG-NN)^C z4x;ehi^6jhMkQK!lPF0-BoZTWk|3o3bq1&lKwSaq)<()iB(fVop+6hiPQYk zk+9_+olv9+^c1o?FIsnT9cSn%e5c`&)})<*2nlJ!CaWP4(m^ER{cm$cE_S`; zN_vU(+(>uQgY*QbFAUIr0M!7rM;oa&@PoH{cJlKV`SE0c$S)9}y}J1Ig`%*`CI^v2 zJ17h>PzVqy3>PT`{s)DzT@*%>5h8_9ats+p!tS6CK>GqT2%!Di$VeDVXfg(%{SC1M zYHFZJA&g8GDZq{+xQjx%D54A~B6t84x-1ltc!nbK?n25V3p@A~82Akm`4x-&1`GRB zEWInpT9HU4Sw&Wp(0PUebQnN~12m+KtRw5m2C@;LBLF%IprZjA+98XvB9U z0+fZZus@YIpFs*8aI*|>V??+)B3zj8W2&Y1LUNf1w}@O!E+Ll!Gy@xU7pbcvscYnQ@c^h6pxGT~!8*gt`~mr>g97XsAccHU zEZ>V_DGzMuFH->&T0$*A>i}Bc zCNmKU$jkuR&`F?40D03mTZk{S188F>zRb~p?;%rn;CmVHn?-yd5nuOjd@QpIzo)FX zh~G=*FAIsMF3q4 z1E_F2$1-cFtfhm&asz|qqK;OGI%@g1j$A9dq_;*U=8&?rvURfcvJC)*o(j9Wl>lAU zCfj6?&Q^e~?v&0tk(N%(AZ2?1x~7Ykm_f=8Ky!izK-Y@hG(17SHR?h+Dm&J}4d#|m zN9#pyCq!-={>_b}y52e~yCiZuCp#~@AiD_AO#s~tP*WHkwzkPG8^~P)=(bLB+eLER zU6I^9fVOv$dng*P`H!+E9Rz+h5ZECScqS6qDa7SldcTnUDI&d;{Vw}M_6nf80SX%k zneJ^m4>4fD-sEB@*5wYYF(OtNKreM+MH)I&d4fE-11rgZ zbwzA$s@UAC|86cax~sWa@;s4&R-P@-k;4cL!|x4%-UR5aHhI3hK#Is=JiQIjdtzda z-tSOJrAVO)pm(|`)EZ1vUN1W!ddymY-Zh*(p-Q9X6kUktlTC zAG?Sy6J@29uad9rps>b3;b)P;dXd7De^WpvcTw0P-yu@iD&Hn=mv09sOzwUG=&t~M z-X`B^V6X?EzjZSBU1Sg@KP)o%5}+@-7#tO?_iF-(2S8!A51l|fLs79^K&R#BI_SaS z9Q2MqM0yuQdas1OWtQrz@>?R0Yx3*z8*-?s*8qJ3(6<16*CxMh-~l}o4zff^U}%TR zz887?0MHLzJbr>a$Oicn`BOP;2h2}C0!#uh1oFTcQ-2e)g9{vz>;)Jma(NB8 zVEDg9CEeWh)&~V9Qv9fpC=dk-Y%wXoNPx)zCT~;V3PK@Oz)Jh43JQ`` zz{_r(Bo#2RZBy_Hm3RQm2w=*NGbHK7xH|;9c8>VaPF#hR!q&i6VPjy+LbeKfku4`I zuCVlWQFuaH3Ri`j!d>A3Fj%QEz)S$vy-ndo))O-oJ^(WnGd5%=z$^@+Q}~Ol0sv;# z#j20UsxR4HJOE}crq+ftWHqvj$RI^WYO5GxAYuu-OT}=pyTq*iEw=#kuGdB@#N1X9 zsu-gPQ-lM|1_&Q3jTjhp6fppE=+vo`NK9B$HO}y$0bnp+FeuyG@Zd!CIJSk{tXK{( ze=!||SsvEg@Z{$jv!2}*8${ZH2HN5o(st;=Z&&Q>klzji?LH#y-H^5rQ2UZq5df+I z8Z1mnQBT-ZZzJ;V z#se(AlXs#ppm~~5&@k5U0LnVE^MTgzU`k`hgJggebv`H&AGB|5+J+cjSqm^2O$;&^ zZ+PXsZjfP2ia3aoR!Gs?FfH9qcRK?xn3W^|Y&yUMBzu}*0VZ`5$-D&Ed{}|71zb|V z%%+pAbx+1!We*w^!C4?|r#K5_I187?yOYFHBbX-c7qHKR#6}rS z1lX6I)gLibfA{mTB3YwN06Qg;Eu651l_Ey_#6yhF*co_kclyE<;kUri2RsbvJ#_AP z=;A`yL`M<1`z#epBd}}a~s7ZsRor8|0ll(1~?0Fex-7wa+7kia*J{+z>NWJ32+C1I|1BX?{-O&BIzbcm8411 zl{@tky%oTXgo%rT<;r~>SCnv3ygJ-*#RwKl;MLb1SDcU~UY+c?QVAQE^yQRil;_}d zsq!qqOO;KPn%lGV~`j2B%l11KbYa<`*ec(v89>JT^2^ods_XL?i&WH_)P_ z6q)?jQU!d+K79qeCzIsGghq;0bjBY_K^Z}^6x5=l@Mf7iN3j$y-rxZ4+(M}U?jo{} zp-drr$_(JH|Hb}m%C?I=e9!GKiAqhB4+luIrl%TFAsxmAmuXUjt^Q+#*)v$dXNKH}3hj8%GnI*e4+aX>Gq^~@ zN(U8A#Y@~*QxQ}o6-7l;F;pxS2XKFY2LL<};JpD3x%35i&}u4yN~DsgWGaP9rP2W2 z58#kiFu(@@d?3Jw0(_WIKg&{3&D8Q#KJtEf=W+~HWcV#indzdV%BXUQ`|{;tD?0G2 zs2WkC)c_yVLe&C%u(+&3Yimo>q19%ERBDSt23Htn*{MdVX*s}$bSz}yl&)1=xk{-h zsVdLZj>@a398j+P%P2mh;GphPoX@Fg68GV&sR`5<)I@3$HJO@1O$B%ez()XlB)~@j zd^EsA0X}9mHJzG4&7@{g0yUeO1Mo0_hXXtU;E@220(cC-WB+dGvQHY?`d35!sa4eK ze-czZ)t{nC-0FMz#O*)t%HqQAhu8s2`#ZQ(sbFQAenw)Ykxy2Y4dD zlL4Lr@Kk_j06bIg)*;Q~aM6r90q_LiH+ zLFli$L|y(Tr)$)WzngOt;Avg;-l3XWsJj4%E&rE^>wNInjZysgE$SQ*WrZ)H~`u z^#S1d051S|A;60OUc8c)&xtG1mL9rZv=P~z&~%lH-|ttT1nHeNi>XJWi2!V z@N&a)8m)rMX|yqI0`Lle*ZsAeMw`)AaH)zmr!8pMNmK&73gFc%Xlscb4P!|STu#Gl z;c{A*C+$jm{#QvaSQ0Mw){7+@^xk0(!PB=0{TJD!(mi23p`pV!>w_-Sfs&PyRg!LW zZ@LfloDPbw&=zVlEAvW<0j>wQ4&d1UAMY^W@ReIvza7z))zZQAASh6J01aIe0Q|F7 zdN4f%;9~(kPFOpCAWn~>M+?8qx0BML^cYDV{Anv3^tBp9htn{f{KRwUXgUGrmvjsr zOUKdBpuPb3M1aH2cXAt@NGH+BG&HU$0G|u+c>ss5EwFR9nRWU%t)+8Bp+b&RTWHuN zPwNnBp(xZM8n$6Nz-Rw0)H1qC6jeE0K|_ho0QgLR&ssrOcL-JJ5^9v7Ttqt2I(qDX zlonSs>CfS6p;&s35V*+6jh-w@6q20(sYIvKGw7Lg5Wp7!d?COWKsiD~8ZBI2#9GXy z7l`tkN6!cNVt_Acr5Dn$*iwKmgYt6{x-aH*t@Mh2ifR?TM#8nws{!8HLazn*3cYu7 z$lxKN=|$Se%JRJ8oW$7CRTVkq>D76a+AaxgqIXHSHS}hB3%!-zMz_=3=^gY=fUgAj zDuBbf)&LyVwGQAgc5GNf@0Qr1W9fbLelz+2eNY1X(T#cvu4?0(0KOUETL8YbeOZeV zaXJTA{oGg3=jjVHeA*81?Ev4gg1$^&p|1iQBAf&GA>l%6$*9|s)|K=f`YwHszE3{@ z_%47S0Qg~m9|8EWzm@Zdek@k_9sNE11Hg9!d=J3)u8>OTpXi^(xpRCU!1oJNRxH!K zpkMt*N!fV%HH3yUY4|}1t#=EzjUHH)SD4i$ijNE&0{#o2o1P&UI2f!4_?H0xO79lY z+x9;M2^aLB{~F%z^(jAwVOWL(_)&nvi1>}(&7pti0%fMe)(Mr^8nO_kJB7F3Z<}fo zYTwC*F=y-~?yKnU7)!>A{(-S!pj*R69|!me*lvKI1o){{QVBMVab%pZX&79WhHH@y z06z_II0}a&F9+xh_xITexA*CEYDqW7oAD7doyxo-t?01#|8T2-Rh=>PXpA4D>Bwxf zt&E=}FO^}VO0v@HY||@keSLfP)YyiNW_~inZpL5I+9IL!*k7t)`Y=Jz&BS{xOh15M z?2N|DfUc4$@BzEK+g$~1B;oWfz`yG3S+hXql4wtkV^>F%b zAV59?2wWZL2M{>Goehvseb6UqFcaGmfUmX(9LPs93|%^tz+}Mgib-UWm}Dk}NoCTQ zbbwz6_zi%=p5hk3Zv*@e!0)a`$1+*)$c80yC3d0>-xE*w4UZo{tA@FNDRiLNyyC2q znyB=Qfr9GDrC_taZay`ZM|lGs3*EKG+~E7R$i$u`(T2k4?oEU>mUA*jelb_7HoHy@1Mlh5d=W z#@=G@un)KdM{yjN;xb&(G2wwC9e*Vmoqs$3h-06>OumKz68E)CEmOzTGYw25)5J70 zItKPL(7V3{_#=RS2lLSH0S*JeV}Sn%@SoN)pGoYPam;w;b7lhbg~SeyWAUG1>?H(1 zJO#unK)eA`0%{Wup~P@FBrC77q+HC_gkvX`3Y$)t>AGBQF4H1$U&qX2<}(YJh0G#m zF|&kO$}D4+1N;fVp91_Dz<&YwuK<4z@ZSLb0^lzJ{`)$nm07{GiP+E`*D!0Db#OHU z{{s*TK=cuzMSmn>0g(!bS$gOmsSFRP4Ay3+R~1$YPp{c`ODIgQE2*lCD%WZS`|Cq( zRDV$&U6Q3u9i}bLtExz4Y`fmMaMM%=ubIf)lA6KVjH;X*t)Wp}ZQ0F;E6vOvW-qgk z*$?nn0RI!dAP`N0s zgi!{DBAxh%yqw%hXR&k_-f`xPhJ@ zC7}wWVo6e%dDGH}dCR8us( z`B`f~@M7RH1Sr;yb%OzlwPzhzN7jjTW?fiUK&Sv=38!X}4*uHEK+mG!J2rEE9OdCMhwy^_@ zF0zBz!GN#>*mXcS0>Vk}Ej&n`9Knth$&CVpedjzO3uo%v*f3(ccmM)+A=^97aKddg zz)nP%EE63@7hOqR-wbDv9*BkHrTmW!l07k z%JP!JLTz~$#yF@oSio1*npeUn8B%8j6FZ)349|s@$0}oXx+D!CO@QdBmvlhSVg=!Y zu?f-2&W73S2V)DIoy*P>{O^0B?0i9czd-o-nCQta76rZp5WV$5(98b*+R@iH0DjEa z%fDAs(`B}WZG|8Ebz|GumFy~ZwGi;*NPA)kAcg^=FCb#BvTNCO@bP+f1G`a@28(UM zX0Tg@l|P2*#A4Ba2>Mh3?d*0@0Wd5Q&L0YE^@2?oRf zKn(mp8u&}#T{8QpP`mEh|3j2rcTac7Z_r=z(|szxe>8`^0vohNG84{m%>=|yy#zK# z6fsO4*qf5=txz5-4N@4U5Blee_e42}FJdzQF&r2K(e>sdQR?3TBBb*r-6zsp$!<|t zus=&?iday>P>DwB zyavSR78b_XP%+?h#W3&A5kGtt`h6G3aS{%Ng*XHdVJ#dCK;go~??N16+38$2fM12S z1&Aoaxayjc+iS2gj^b$X!(8NW#Nct=Ia(0~4e}q)dSz^b*@RJ~h)I_2A zfu$4Y#rghMNk4Qf2i-jxCR9X43-(n1_}+ zZV)$AEHGG%yO}WbwsONbsLCurH0a&p2NYIn%Ztd z4H_=3$|%gsRCmk?d1bA#TmbEzTgbu4TLp;fzwDh`$}RuD z_TI&%ja&6kE7!a6x{n?Ir82$YN9GO!{XJCv22n#B0a33H{>RtWJv9C>iPi)*HFZ_F zmD}-Odfy54z8esYqTXk8>3yFlKiKf5zsVlrj{QUL2DR({NB_&6;7)R*p+5PCoWKz!E5T@zR8xSN0&+qqIljMsa^&MVR| zdpM{hOL&^B({T?(whsX@u1nkEr>_6KZr3G@C)_WFzLlXg2SKz6RUSX=CS1|Ot z!m7vgKe~qGYM5UR&dVBAlAh(-5wLi18l8s`Y^K3jhpDE7XC9p zz|9z4O&!lq5FdRGh)pd9KKeMQCtA$Y)fI4vSC>(eUfwmi!%yL-{ml&e@0P!r&EkcB zTFP90zF0G~ift`Cw1jp6J?rGnFX3C^5QksNFXNZP4K&1dKAzH@8>LgDoj1s7w3=jCxkQS>{0%t z@cf+nApSJ`QWo0f8U8GPjz15r6I$DsfcOd!M*wluV4z>Gf);s2QX{eBuPdaYIer7j z#jxwS4ZmuD(?!S6+X{8(!-cf-e~pUy2mBAB-#p~M-(910^k+^acduO4iL9Qrx6E|hVkQ&Pc1!_aq7B1pb!o$v+Be_Z1K>N@=$F} zSb9~3HY>6+9j(*~Q5SkxrZU!Dr6Ub<&)TfezcMMe3m*A~zKMT{yl>@y=l=l289spKjJAT9y8(Sf)Ii0gj^ zPnA-Nh$D{6aD^ETIb!^6#g{wNWfdo{7azij%DeDkTvV4TTuat+GdxO+x{($et-4AN&eXx0Y2G&8f{h&;m!qf#8QlM zI)lnO-Av`E@{zc&S2^*$R4yu4m7B_4<)QLad8yPYZ$R8LnALqiJOFT$1_9gi2oNyW zhF0AK>RGOynwg9?T+XkH(I6#XmhROwWPMT7sdZlf9pg#;tndr>GKVD>lps)J57SvCDX za%X5YL(^6iE#h%S>?}ghp{PTMmbY`;HqZoG4mAHbcrt09TY%{fnI!C>Rdw!GQX5FLoGq}|@5>Dx6GFm2=$%mV2>lgsH(N2e3 zXa&}YHHJH0-B@q72b?S!!6w6s9Byo#1h=+MV`s3l z*x7J2e}es$Glv^fvpL;T?mRDnX}|z}AU_Ch77gXI;MUMuzMh{T_A~qpxGQuXzX0wG z-3WJrp5!m_*I^WW#DC8}=3m3Wz`HnnZ%o8n@%;IZaUv|h3Oj8cGJVAr%cb7o-@5*ddc*P>3!3OrjJa&H+^jSlj##P z#LUvHmszmcXtNlzc(X*aWV39uJhK9`BC|5Hdb1`oof$A&X|~C%-E4>1F0(ym- zyJmLV?5^2;vxjC+&Hgke%oXNF=9D>aZfxG&+|1lrXYOmRG4E;aZyspg$9$;yaPtx7 zqs&9i!^|VhbId<8Uu?e8e2w`!^9|-Z%y*mbHQ#UkwfS-Lljf(*e>Hz?A+bO$a0{u0 z%);El&7y}zki}q&p%%j}Mp%rph_%SDD6*)w7;Eu`#UzU<7Bejbi#ZnaEZQtKTWqyx zx7cB^%VLj(?x@8#7RN14TAa2xYjNJ~fonkx9cAo7*+r_p^ zZCBf_v0Z1|ZmT|b zvs+--YPZ5}rQJ5WJ$C!-4%i*C`^N6L-ATLCc4zIb+1<3eZFkr1jXh>BwU^l|?0I{Y zy@|b-eQ)~#_Jiz)*blQ0wGXq8u#d8ju`jZ(wy(2quy3;eTxUPQexm(c`z7|v>|5;N zK3n?@_M7at*l)8xWdEi85&N$l+#UQK0v-A|1Ua-gY;xG*u+3q+qphQtV=u=5$KH;8 z9s4;Ba}04D={VYPjAOWCkt1+i?RePnjN^I7i;hd>~n>$-N zTRYo2+dDftdpq}b9^xG4oamhGT;|;9JkfcQ^JM3#&U2mTIk!1)bl&W|)w$hyhx0+_ z!_HqhA9eo5`MC21=gZDlov%B8>!NV!?qcp@>0;wz=i=bv;}Yc3U*|HwWsu7dmtig; zE-@}~E(tD4E-5Z)E*UOaE=4YrTm+YOE*o4nxomOS=Ca*or^{}ay)OG*4!Rt6`O4*t z%XOD;U7oqTcEw$3S94b!xzknYfv`S-4rb1-cD&i*$>2i*<{4OLR+iOLZ%BD|Rb&D|f4O zt9Gk(t9KjkHq&jr+eNo0ZXexc?tbol-21r)yAO09?VjMC=$_=B;-2GP>0aYr=icb9 za|iCz+-JDYa-Z$~-2H=x+{4I&_TW5>Jxo0uJe)n;JUl(TJ$yYhI*$yGFFba5-13xq z@}9Py4xY}QZl0c=-kyG*{XGYG4)z@C8R9w0bBt%WXOrg=&o4cnd3E#h^78Qt@*3Tpgv3RVS#E)oJQXb+$TBU8pWmm#eGP zwdw|Slln9D1oafPZl-#!dXaj$dZl`udb4`FdXM^``iT0t`hxnZ`nvj-`i}aZ`ic4% z^>6Cm)qkqrcvIfpy)C_MyzRXmy?wm{y!&|f^B&+m+EMe=lzq;`>FS@-oJT&^pX0= zeT;l)9}^!l9}6FAxQyuL)5|BwC)j6@&rqMyK4Cr)KG8n0K50HhKBYbtKGi;TJ`Fz0 zeAf7^_u1sL#pi3E^FB9y?)cpI`PS!qpU1vKd_#S6ean0&_)ha}^WE!v%rC&Nk6%B( z0e*x1hWU-~8|@e77wM;q@r(CM@=Nv0@YDL``W5&U`<3}s`qlW=`!)IL{l@xz?l;kI zir;jxO}HjX6RSzkBx}+%nVM`(o~BS!qAAx@X=*hMnr02qX~t&2`N!&0Wm{ z%_GeZnx8aJHNR?JXnya3_P~2cd&ql??J=XrtRAy_%V98 z#GX@np}pu{Y%ji-NiW^lUNd^l>NUI9yj~ytyZI~qX@AaN??2Uly8lf7*#VLOWdI$( z2B-pb0aF5|1&-;DoFX@l=C;B({pWJ_H z|LOf_19^4wda)9XorvWYl+y;0Km^5JCfCU2<4Olvm8E7@o zW}w|b$AR+)t{k{};M##323Zbr8>I6Xk3M(!;XCa>DY$%EKDMn!`ZYxUdOf6T>ElO%0nKHZyEt*y6CY zVVlCXhHVeq6ShC>P}rAY$HK0L-3z-P_AuEyJzD z`-G>5j}LDP-x$6nyght}F8pBlvG9}OXTr~iUkbkxel7e)_`~o=;m^bW2!9>^E&_?b zBBT+ri0%>Q5iSuv5t@iz5rGkXBZfo_j~E#-IwCA0BBCT>PQ;#w>k$tk9!2~R@nghq z5pN?tL?V%RBpE4>>=vnvG>LSGbdB_gR7YwedqxIC_KpmR92FTKnGvbeM&?EqL>5QZ zMAk<(Md~BRMvjkcjXWOtB&u7KDyn;wd6Z?8QyXJe^l?NzES<6f}=vBMn=U& zB}b)2Wk%&jbE;=|m zBzjcznCS56gy_s@ZFEj_o-Vo|x+uCjx;A=z^rYyi(KDjwM9+&}7`-@pee|a2ebGmw zk42x1J`;UD`bPBa=zGx*q8~+nAA`lX#f*+AjH!yLjcJH!iupWddd$oiA!bg@yqEL?$vomIQ%)XcdF{fkB#oUhhF6ME}&oR$pp2xh2c^@l@MPrFrGFImpJ0i9? zc53Y0*afkRW0%IRj@=TwEp~hC&e+|tdt;Bqo{l{idolJ}?2Xvlv3Fyi#{L@nK291Z zk28v+s z_#5%JJ!H%exCS6;^f4siR%*2C%#PLlPr^L zlI)Wllf068B?Tt+P3oUCFllho(4@$uxTM6Sl%&igZBlMheo|dhW75Q=SxIw}<|i#m zTAH*vXa!B&XKAU_Y`Ev5rVx;b@g>fY4- zsV7p;rd~+BoO&bmR_fi<`>DUA{+9YNO_tUzjY?zFRB2XewrLJ&&S`FG9%;kViqmGL zZB5&ob|CF=+E;0((k`c6OS_qNC+&XP!?Z_f->3beOM91&q~qyix=}iv&ZVo;9nziC zHR*lR`=<{~ACf*iJv==sJvKcdJvlu!y)nHt{hRdf(x0V2Pk)*INBYMMSw^=EDuc~X zWte1`W|(IZbn%~WkyX#eMVEp=NS_-resXZ zn3*AD=(c2B&3Kb(mT8~ql$T$H&qb9Lsr%#E3wGq+`K&%BWND$63Pch;b+p;;kWBeSBilCx5? z(z7z**Vj2&m05LJjaj;^aao^dP0X5{wJ>W**1D{AUDnR5Jz4v+4rLwBI+b-c>wMOw ztSec+X-&0#wTap+ZH_h{P83#Yo3%hYPCG$6NjpWmK)Y1iqHWWz)o##k)^61v)*jKG z*WS?H*51=T)PARZrhTq`sr^IyTKhKJG`nARMmETvlsz?jM)s`iMcHlHtFzZ-Z_M73 zy)FAtw(e;5vFwxC=dv$kU(UXo{ayBN*>AHyBf*^<+qvm@tV&as@6IcIXt=UmFUl5;KRM$YY=?{a>~d71M%=UvXnTs&8rE6?qg zYo2SB>z=F0?Ufst+c&p=?(p1^xuLmXxskcix#hX@b-DXH-hsRa`I3BjzEM7%&*q!wJLEg%yX3p&d*plN_s;L1KQMnt{)qfh`D60K^V9M( z^GoyV@^y{*y8O@b$LCMWpP4^9e_sB={Kffu^6wR31@;9V1?mFd0!=~Rf*}RN3q}@% z7K9f>7DN}s7Q`217Zel}7nBuL7t|Is6f_k~DVSccxL{?$nu7HOn+moT>@7G@aJb;B zg0BmX6+9|b6sikH7seFE7bX>^6y_9`7M2%Q7FHM5>I&-%ClpR8oL)Gqa9-hp!o`J4 z3pW<-Ej&{AP2q{c(}m{>uNU4byjys`@Y}-giqIn0qEST!MU_Q0MfF9EMdOR670oD` zRW!S3ZqfXrl|}1{HWqCu+EKKtXm8Q}qEkg@i*6M?D*B=5r=q7tzZShNdRO$Z7%e7> z$zsRi5yi#DQ;T(Tix(6xE?!!^x_C=*d-2ZVJ;nQr4;CLTK2dzN_*U`V;s?dw7e6lk zx%g@EyW)=}MkU52rX?07)+Kf&?j>F&J|%u7JxlycqDtyZmX;hS`KIJV$?1}_CD%&s zmpm+aRPuevB%ACvG%G6~(Wty^{ zWrNFxl|_{$m!*|umSvaam6exOmDQHjmo=5?$`+S>RrY;3QBIY!<*IU%a+`A3a*uL# zxo>%o@?PZu<-N;weal12L(9X{+cPsAeD&AK*R1T}mt}Ll6 zudJ%9snl0atejFgy>eFNoXUBX3o2JtuCLrwxwUd<<(|s@l?N-&RGzQAQ~7=6kCjg< zf2sVf@@?gZDx?all2*y8oT^4vl~hfunpd^3YDv|ysx?(xtJI$p>RHwED&2=_vRYBCtY)hDYRhVyYWr%(YL{xa>Y>$z)ibI$SMRRg zSADShaP^7mi`AE_uU22LzFB>{`p4>L)z7P6R==)(Tm7L%QbX5pH8wS_H6AtU8sC~8 zH9Ex`lO1>Xz57s9Rb0Rh{l}y-~eKeUEzo`rh?@>xa~b)`!-)z{WH)$8g%s~=Y{)Gx1JTfd=xbN#mZ9rXw6zpOu6|4sdg`cw6f8>j}qhVX`j zhUA8{hKz=ShRTNOhT4YuhQ@~GhRF>x8iaxKoY%OZaZ%%v#$}Bg8n-rX zZ`{?mzwuz>myJgnFE(CjeAxJN$-OD8sj^9ETHLg( zskN!CX=BsQrrk|@oAx&yY&zU@rb&0P=}Ob}raMjdnjSViYI@Q1M>E!J)J!*X&Bo29 z&34U>%`VOE&0fvk&7+#jo98y~Xg=8dW%JSIZ<^0FUvIwEe5d(-^TXyx&EGfw()><` z=x`mWGtyByR>$k?bxt}zT_0UP-2mNS-7sC4E>ahxi_<0Ql6CdE<+`J~Z*{sSx?gm^ z>0avI>!o^`UZFRF%WjO`N^h@s(!1)t^xk?ueGmO0eV9I8pQKOKXXv&1VttvuQeUI5 z*Ei}H=nv`P=6KKzP=EzIumn!P6}SU0;0=6%2J{32!7wlaj0O=P>i?$x57qNnPj7TI>h;$->N#qcvL^)AOR1!LAnhali*%H9oOF`JB*{oxl7V!V^atqz=_aX$ zWFnbK_el>)DROJ_P;wc08F>YHHF+(0D|s(@ANc_J5cx3qD49TJlLcfkSwt6BrqN1i8)#(O?=&mTN2{j=XdzmP)*v~k`ILSE0 zATlTnIs;&wWw;qHfG$8GPz3Y?z6OQ>qk(b2L|`&74JZZ5fR(^HU?Z>@*a7SY2z!Bj zKqYV*-~duU4k!T)pa-gfOTbm&I&cf90Rq5BW`E{1<~-(n<|1Y}b2W1_b1QQ@b0>2* zvx0eyS;;)jBr_RICX>VDG1bg!<_+d;=3VAJ=ATRl)6MiTVJ6B9GGDQJv!=4vv$nH# zu_{=f=y=A*Z`Zw7PD1s4O_=Hu+Ol6XWwAoVMFX%b{*TwcCcOSC_B%7 z!G6Vl%YM)PhtrDFmeYaLnbVEagENw|l5>JXkaP5$Gn{jr^PKA(h-2pb$$7x3<5)Qe zC(Ma)5}Ygt=QMB{IUl%fxLvtDxCPwa+`im_+`-&o+!5T7+|k@}?h&q>Tf@E2t>fCb z4sJa+#!Yb3+#EO0ZQwR?-*G?jn(B0Rq@AQdQYh&o`ATv~ zLXdDJ0*P26lPDxAiB@8eT$9|C)JRMcv*f;{PGXZdBw5LG=@-(j(r=~Xq_d=ih0;aR za_MU68tFReNhw1LNSRW$R4!FYHB!CwjP!Tu9q9wn-am>o5C8Hc&QJHbFK?HdR(CnOCQDj2d8QE=_Mdp?HWUwqM zi^<}$Phfkn1K1JlOaP0(e&7JG7#su+0VjiNz#ZUDa2L1-{1v2u0LTV;pb(URAXo*S z2QPwG!0X^G@D2!pwcvfw33@<3SPwRUFTpq9JMe?Nn|zdfntZyvR6bK)E?*{JAzv+D zC*L67A>S?EE8j0aC_gRd$hmT!Tp(A=4RXR+`5*F2@~iS2@>}wUa*Nz1cPa`M0~Lc5 zLlnam#}zb%Kp|Gh6bgk(p;c5XE-9`mZYXXm?kXU~ld4`-bE}S2sjF(LELHX@SCzL4 zu0pEvRWGXERK2VESoKNSUfE6AQ`t+|M>#-Qto%+nL^(`ZqMWN-qpVcYlp=ysp;Rfg zN`vye@}lyx@|yC7@}|-?ZA_aWXw%x9Hm_~a{;m7(aHSidE7lFt z4b_d%jns|Njn|dv=Ia*e%5_V1%XO=CYjqoRn{=CX6}qE3p6;y9r1R?@>znI4>*wp2 z=vV2t==bZ7>W}Mx(-ZVGJ)mdnd3u4qPM_4jGc-4JG;}d^H+*R*G!z-WG7L40GK@74 zCKx6eW*BB0<{9Q278;Hi3vRFQDRh^hM0z%N=$Q2 zWu}Fua?>)?3e#%ScGE6Xg=wGZAi;Fl^sDK(No{&)dJ6S|zJ>-vqoA?SRA@F-25o>g zLA#&|=oe@|^czHgh!6#$LjWX%B#;b}Lwd*noq;Yv4lLfbT5rXzpKM>-#@I@2WwwR3a@#W73fo58 zX4^K~PTL;aUfU5H#|GN;w#&8}o5^Oj-M7`*JT{*Vwjs8FEo6IR?`|JwUu<7z-)P@# z-)7%w-)%o+|J8oNUTG)T*>;hgAhpZwO1r^+)_%@jZNF&0Wv{in?T9^SkJvGL){fgB z+Z*jq?SDI(IXXGII(j$?9EFZPj_)0l9n%~$9J3ws9A%D!j#CbzgW{k&m=3l>;7~ix zILP zcOG&caUOG?be?h&on$B9sdV0Ux}14eD_1wyAlF#eR9C5MmTRu7%(cw5!nNA9&b86? zv#Zi&aM@hA>$&Tt>y7JgcQbc8cSm;@cX#)f?q2TR?jrYK_Xzh$_Zas?_hk1pcd5JF zz0AGSeZoxu+)8(i+vGO8@4M^VR`)wkb5DCuCr?*T4^M%o&@<38(lg#u;+f)^?pg2I z?xA=9&pFRcPmRaqF?$@IpeN#qc@mxm&s)!X&qvQ^Z#!>+x3{;ix1V>AcdB=$ceQu3 zm+cjJA+OW>!Pmmq+Skt4!S}6itgpZ&N{K{zkYZ+y-tBcY+CB;6k_v?gxJj zm%x+ZY48kqHoOL24{w6Ez}w)R@B#P;d<;GbSHet~1M^`KEQLY%A{>OXFb+4sPvGZp z6Z}v8p!$*ZQ|n9XXVuTGFRx!+PpYTaU#-7epQ?X^bU+G`BBUQO04YYkLw-QUA`_5F z$P}auSwcX5LRKPcke`vQ$aZ8GvIjYgR3c17fQS(pqCj+r5jl(efm9>+5G#TpVI+nm zkSu~D4M-#M9{G%RK)a$n&;qmw?S~FPzePu&lh7IHY;+zvA6<$rM^~b2&~@lGbSJt8 z-HRSa87K?oq5@Qm%1{MLP@xyl%jh-qCR&4*033Lm5A6OnZ7LWzB0Yl(y;9THp;BMev;LpIrfHhzbI0K;o7Dxs%fqdYvz|+9< zz~^A|U_r2Vuy3$`a6qs)I3hSYI4(FbI4L+UI6t^3xP%b=DYzoICAdAfD_9ZS7d#L= z9=sK-4L%53g0^5H*cf~nd=q>Z{22No)GE{_)IQWP^kt|pR22FuG&EEani85Gni-lC z`Z2U1v^caO^mAxyXh&#wXm4nL=uqfzh!|pqI3a#W6q1HCA${mf=v=5ebTQ-%y$JUV zj|mf|gr|pRhG&Ntg;$2xgx7~Rg|~#ag%5_0hL4AT3lqbXFg*-}!SKcKmGJfOt?-?& zDO?x!g#BS891KUovGC(?WB6IPDf~IoJkm1KCeky~E7CjCH!>tLJo0^HRAfq|EV3|C z9$6aM6xkK2i0q3Th|nYAh$JG7fP~1I$d$xgy324mx}iP&Up8a4x)g)PB;!d7Byu=UtRtODDI9mEb}zhcKR8U|o&jE4y^ zF{Z>_p7(=lT7R4~E0s9MU#GYawuut)3@fPvc@wV~e_^9}#_*6o? zG(IanH(nlJ8ebk?6<-@)A3qWo$F=dBaZkKH-WY!q|97HYqGzIi;+w?4#CM6oiD8NF z6Vnr`5h&UM0Mg}!k&N=FB5+!3zGwrqm$#36O)sZ(~>iivy)4c zKP6WtS0~pdHza>fZb_1o%H*vi0Zu+izE8DGwMn&4bxL(j^+@$g4M=^P8kicK8kQQ7 znv?n^rAs|XJx(>H-lpEC{z-jKcTE?ii_?SBL({|4Gt#rtbJJz%h3WD%In7Q>(qOtO ztxoIGhV+&6_4KXuo%Ek+PuibG(!q2x{UrT7-IRWvX`X4BX`N|D$PCSl$&_YRWsYXZ z8AV2w(Pj*pvzb3K7c!SK*D^OVH5pT;K7(dLnMel9Br}=Jqs-&XUzsPF=b5H#$Lz4| ztn9Mvj_k=SFKfuwW+T}b+4tEG*?)4aa&2=Ra-DNUxxu+%x$kqMa$|Gjb8B;3bBA(A za>sHfbEk3yVvdrd=YZV9N39=Ce{}Sb@{#Y+2fPj55$}R`$9v(u@gn>yd>p @@ -42,11 +42,11 @@ ignoreCount = "0" continueAfterRunningActions = "No" filePath = "Musgravite/Controller/DetailViewController.swift" - timestampString = "564904426.327051" + timestampString = "564952332.73735" startingColumnNumber = "9223372036854775807" endingColumnNumber = "9223372036854775807" - startingLineNumber = "206" - endingLineNumber = "206" + startingLineNumber = "205" + endingLineNumber = "205" landmarkName = "getData(_:_:_:)" landmarkType = "7"> diff --git a/Musgravite/Controller/DetailViewController.swift b/Musgravite/Controller/DetailViewController.swift index 2588dc4..5b10bbc 100644 --- a/Musgravite/Controller/DetailViewController.swift +++ b/Musgravite/Controller/DetailViewController.swift @@ -69,6 +69,8 @@ class DetailViewController: UIViewController, UICollectionViewDelegate, UICollec override func viewDidLoad() { super.viewDidLoad() + + SVProgressHUD.setDefaultMaskType(.black) presentStaticContent() /* WatchKit connectivity */ @@ -76,11 +78,10 @@ class DetailViewController: UIViewController, UICollectionViewDelegate, UICollec wcSession = WCSession.default wcSession.delegate = self wcSession.activate() - if wcSession.isPaired { - watchSend.isHidden = true - } +// if wcSession.isPaired { +// watchSend.isHidden = true +// } } - print("scoop") button3D.isHidden = true /* MapKit Delegate */ mapOutlet.delegate = self